Εμφάνιση απλής εγγραφής

dc.creatorDimitriou G., Dossis M., Stamoulis G.en
dc.date.accessioned2023-01-31T07:56:16Z
dc.date.available2023-01-31T07:56:16Z
dc.date.issued2017
dc.identifier10.23919/SEEDA-CECNSM.2017.8088235
dc.identifier.isbn9786188331402
dc.identifier.urihttp://hdl.handle.net/11615/73336
dc.description.abstractIncreased complexity of computer hardware makes close to impossible to rely on hand-coding at the-level of HDLs for digital hardware design. High-level synthesis can be employed instead, in order to automatically obtain HDL codes from highlevel language functional descriptions. With high-level synthesis it becomes easier to design coprocessors, accelerators, and other special-purpose hardware. Nonetheless, compiler optimizations can improve efficiency of automatically generated hardware descriptions and make high-level synthesis to become the dominant technology to build more complicated hardware as well. Compilers, well known and explored software tools, can allow programmers to use their software skills on hardware programming, without any language compromises. Furthermore, compiler optimizations transform the input code, in order to produce a high-quality high-performance output hardware description. In this paper, we discuss compiler issues for high-level synthesis, and in particular, the incorporation of loop pipelining in the C language front end of the CCC high-level synthesis tool. We also present a novel pipelining technique that minimizes the area used for the pipeline prologue and epilogue. Results from experiments on the Livermore loops and Mpeg2 open-source codes validate our technique. © 2017 TEI OF WESTERN MacEdonia.en
dc.language.isoenen
dc.sourceSouth-East Europe Design Automation, Computer Engineering, Computer Networks and Social Media Conference, SEEDA-CECNSM 2017en
dc.source.urihttps://www.scopus.com/inward/record.uri?eid=2-s2.0-85041328027&doi=10.23919%2fSEEDA-CECNSM.2017.8088235&partnerID=40&md5=2674f520ce8cf4b9ec0c9ff61495a1df
dc.subjectC (programming language)en
dc.subjectCodes (symbols)en
dc.subjectComputer aided designen
dc.subjectComputer hardwareen
dc.subjectComputer hardware description languagesen
dc.subjectComputer networksen
dc.subjectComputer programmingen
dc.subjectComputer programming languagesen
dc.subjectHardwareen
dc.subjectHigh level synthesisen
dc.subjectOpen source softwareen
dc.subjectProgram compilersen
dc.subjectSocial networking (online)en
dc.subjectCompiler optimizationsen
dc.subjectHardware synthesisen
dc.subjectLoop pipeliningen
dc.subjectLoop transformationen
dc.subjectRTL designsen
dc.subjectHigh level languagesen
dc.subjectInstitute of Electrical and Electronics Engineers Inc.en
dc.titleMinimal-area loop pipelining for high-level synthesis with CCCen
dc.typeconferenceItemen


Αρχεία σε αυτό το τεκμήριο

ΑρχείαΜέγεθοςΤύποςΠροβολή

Δεν υπάρχουν αρχεία που να σχετίζονται με αυτό το τεκμήριο.

Αυτό το τεκμήριο εμφανίζεται στις ακόλουθες συλλογές

Εμφάνιση απλής εγγραφής