Εμφάνιση απλής εγγραφής

dc.creatorOwaida, M.en
dc.creatorFalcao, G.en
dc.creatorAndrade, J.en
dc.creatorAntonopoulos, C.en
dc.creatorBellas, N.en
dc.creatorPurnaprajna, M.en
dc.creatorNovoen
dc.creatorKarakonstantis, G.en
dc.creatorBurg, A.en
dc.creatorIenne, P.en
dc.date.accessioned2015-11-23T10:41:58Z
dc.date.available2015-11-23T10:41:58Z
dc.date.issued2015
dc.identifier10.1145/2656207
dc.identifier.issn15399087
dc.identifier.urihttp://hdl.handle.net/11615/31515
dc.description.abstractThe design cycle for complex special-purpose computing systems is extremely costly and time-consuming. It involves a multiparametric design space exploration for optimization, followed by design verification. Designers of special purpose VLSI implementations often need to explore parameters, such as optimal bitwidth and data representation, through time-consuming Monte Carlo simulations. A prominent example of this simulation-based exploration process is the design of decoders for error correcting systems, such as the Low-Density Parity-Check (LDPC) codes adopted by modern communication standards, which involves thousands of Monte Carlo runs for each design point. Currently, high-performance computing offers a wide set of acceleration options that range from multicore CPUs to Graphics Processing Units (GPUs) and Field Programmable Gate Arrays (FPGAs). The exploitation of diverse target architectures is typically associated with developing multiple code versions, often using distinct programming paradigms. In this context, we evaluate the concept of retargeting a single OpenCL program to multiple platforms, thereby significantly reducing design time. A single OpenCL-based parallel kernel is used without modifications or code tuning on multicore CPUs, GPUs, and FPGAs. We use SOpenCL (Silicon to OpenCL), a tool that automatically converts OpenCL kernels to RTL in order to introduce FPGAs as a potential platform to efficiently execute simulations coded in OpenCL. We use LDPC decoding simulations as a case study. Experimental results were obtained by testing a variety of regular and irregular LDPC codes that range from short/medium (e.g., 8,000 bit) to long length (e.g., 64,800 bit) DVB-S2 codes. We observe that, depending on the design parameters to be simulated, on the dimension and phase of the design, the GPU or FPGA may suit different purposes more conveniently, thus providing different acceleration factors over conventional multicore CPUs. © 2015 ACMen
dc.source.urihttp://www.scopus.com/inward/record.url?eid=2-s2.0-84923684266&partnerID=40&md5=0ef2bb1ddb8009c54b561e16a248853a
dc.subjectCodes (symbols)en
dc.subjectComputer graphicsen
dc.subjectDecodingen
dc.subjectDesignen
dc.subjectForward error correctionen
dc.subjectIntelligent systemsen
dc.subjectMicroprocessor chipsen
dc.subjectMonte Carlo methodsen
dc.subjectMulticore programmingen
dc.subjectProgram processorsen
dc.subjectSatellite communication systemsen
dc.subjectAcceleration factorsen
dc.subjectCommunication standardsen
dc.subjectData representationsen
dc.subjectDesign space explorationen
dc.subjectGraphics processing unitsen
dc.subjectHigh performance computingen
dc.subjectLow-density parity-check (LDPC) codesen
dc.subjectProgramming paradigmsen
dc.subjectField programmable gate arrays (FPGA)en
dc.titleEnhancing design space exploration by extending CPU/GPU specifications onto FPGAsen
dc.typejournalArticleen


Αρχεία σε αυτό το τεκμήριο

ΑρχείαΜέγεθοςΤύποςΠροβολή

Δεν υπάρχουν αρχεία που να σχετίζονται με αυτό το τεκμήριο.

Αυτό το τεκμήριο εμφανίζεται στις ακόλουθες συλλογές

Εμφάνιση απλής εγγραφής